Thermal Management for Multi-core Processor and Prototyping Thermal-aware Task Scheduler

멀티 코어 프로세서의 온도관리를 위한 방안 연구 및 열-인식 태스크 스케줄링

  • Published : 2008.08.15

Abstract

Power-related issues have become important considerations in current generation microprocessor design. One of these issues is that of elevated on-chip temperatures. This has an adverse effect on cooling cost and, if not addressed suitably, on chip reliability. In this paper we investigate the general trade-offs between temporal and spatial hot spot mitigation schemes and thermal time constants, workload variations and microprocessor power distributions. By leveraging spatial and temporal heat slacks, our schemes enable lowering of on-chip unit temperatures by changing the workload in a timely manner with Operating System (OS) and existing hardware support.

최신의 마이크로프로세서 설계에서는 전력 관련 문제들이 중요한 고려사항이 되었다. 온-칩(On-chip) 온도 상승은 이와 관련하여 중요한 요소로 부각되었다. 이를 적절하게 처리하지 않을 경우 냉각 비용과 칩 신뢰성에 부정적인 결과를 초래한다. 이 논문에서 우리는 시간적/공간적인 핫 스폿(Hot spot) 완화를 위한 설계들과 열 시간 상수, 작업부하 변동, 마이크로프로세서의 전력 분배 사이의 보편적인 상충관계(Trade off)들을 조사한다. 우리의 방안은 작업부하의 실행위치/순서를 변경하고 동시실행 스레드의 수를 조절하여 시스템의 공간 및 시간적인 열 틈새(Heat slack)에 영향을 줌으로써, 운영체계(OS)와 이미 시스템에 존재하는 하드웨어의 지원만으로 적절한 시간제한내에 작업부하를 조절함으로써 온-칩 온도를 낮출 수 있다.

Keywords

References

  1. L. Yeh and R. Chy. Thermal Management of Microelectronic Equipment. American Society of Mechanical Engineering, 2001
  2. S. Gunther, F. Binns, D. Carmean, and J. Hall. Managing the Impact of Increasing Microprocessor Power Consumption. Intel Technology Journal, 5, February 2001
  3. D. Brooks, M. Martonosi. Dynamic thermal management for high performance microprocessors. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA), January 2001
  4. J. Clabes, J. Friedrich, M. Sweet, J. DiLullo, S. Chu, D. Plass, J. Dawson, P. Muench, L. Powell, M. Floyd, B. Sinharoy, M. Lee, M. Goulet, J. Wagoner, N. Schwartz, S. Runyon, G. Gorman, P. Restle, R. Kalla, J. McGill, and S. Dodson. Design and implementation of the power5 microprocessor. In Proceedings of the Design Automation Conference (DAC), 2004
  5. H. F. Hamann, J. Lacey, A. Weger, and J. Wakil. Spatially-resolved imaging of microprocessor power (SIMP). In Proceedings of the Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems(ITherm), May 2006
  6. R. Kotla, S. Ghiasi, T. Keller, and F. Rawson. Scheduling Processor Voltage and Frequency in Server and Cluster Systems. In Proceedings of the IEEE International Parallel and Distributed Processing Symposium (IPDPS), April 2005
  7. K. Skadron, M. Stan, W. Huang, and S. Velusamy. Temperature Aware Microarchitecture. In Proceedings of the International Symposium on Computer Architecture (ISCA), June 2003
  8. J. Srinivasan and S. V. Adve. Predictive Dynamic Thermal Management for Multimedia Applications. In Proceedings of the International Conference on Supercomputing, June 2003
  9. E. Kursun, G. Reinman, S. Sair, A. Shayesteh, and T. Sherwood. Low-Overhead Core Swapping for Thermal Management. In Proceedings of the Power-Aware Computer Systems Workshop, December 2004
  10. S. Ghiasi and D. Grunwald. Thermal Management with Asymmetric Dual-Core Designs. Technical Report CU-CS-965-03, University of Colorado, 2004
  11. A. Snavely, D. Tullsen, and G. Voelker. Symbiotic jobscheduling with priorities for a simultaneous multithreading processor. In Proceedings of the International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), June 2002
  12. D. M. Tullsen, S. J. Eggers, and H. M. Levy. Simultaneous multithreading: maximizing on-chip parallelism. In Proceedings of the International Symposium on Computer Architecture (ISCA), June 1995
  13. M. D. Powell, M. Gomaa, and T. N. Vijaykumar. Heat and run: Leveraging smt and cmp to manage power density through the operating system. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS XI), October 2004
  14. E. Kursun, C-Y. Cher, A. Buyuktosunoglu, and P.Bose. Investigating the Effects of Task Scheduling on Thermal Behavior. In Proceedings of the Workshop on Temperature-Aware Computer Systems (TACS), June 2006
  15. A. Merkel and F. Bellosa. Balancing Power Consumption in Multiprocessor Systems. In Proceedings of the ACM SIGOPS EuroSys Conference, April 2006