DOI QR코드

DOI QR Code

Fabrication and Challenges of Cu-to-Cu Wafer Bonding

  • Kang, Sung-Geun (Microsystem Packaging Center, Seoul Technopark) ;
  • Lee, Ji-Eun (Department of Mechanical System Design Engineering, Seoul National University of Science and Technology) ;
  • Kim, Eun-Sol (Department of Mechanical System Design Engineering, Seoul National University of Science and Technology) ;
  • Lim, Na-Eun (Department of Mechanical System Design Engineering, Seoul National University of Science and Technology) ;
  • Kim, Soo-Hyung (Microsystem Packaging Center, Seoul Technopark) ;
  • Kim, Sung-Dong (Department of Mechanical System Design Engineering, Seoul National University of Science and Technology) ;
  • Kim, Sarah Eun-Kyung (Graduate School of NID Fusion Technology, Seoul National University of Science and Technology)
  • Received : 2012.03.02
  • Accepted : 2012.06.11
  • Published : 2012.06.30

Abstract

The demand for 3D wafer level integration has been increasing significantly. Although many technical challenges of wafer stacking are still remaining, wafer stacking is a key technology for 3D integration due to a high volume manufacturing, smaller package size, low cost, and no need for known good die. Among several new process techniques Cu-to-Cu wafer bonding is the key process to be optimized for the high density and high performance IC manufacturing. In this study two main challenges for Cu-to-Cu wafer bonding were evaluated: misalignment and bond quality of bonded wafers. It is demonstrated that the misalignment in a bonded wafer was mainly due to a physical movement of spacer removal step and the bond quality was significantly dependent on Cu bump dishing and oxide erosion by Cu CMP.

Keywords

References

  1. R. S. List, C. Webb and S. E. Kim, "3D Wafer Stacking Technology", Proc. 19th Adv. Metallization Conference (AMC), 29 (2002).
  2. P. Morrow, M. Kobrinsky, M. Harmes, C. Park, S. Ramanathan, V. Ramachandrarao, H. Mog Park, G. Kloster, S. List and S. Kim, "Wafer-Level 3D Interconnects Via Cu Bonding", Proc. 21st Adv. Metallization Conference (AMC), San Diego, 125 (2004).
  3. J. Balachandran, S. Brebels, G. Carchon, M. Kuijk, W. De Raedt, B. Nauwelaers and E. Beyne, "Wafer-Level Package Interconnect Options", VLSI, 14(6), 654 (2006).
  4. M. Koyanagi, "3D LSI Technology and Wafer-level Stack", Proc. 2nd International Symposium on Microelectronics and Packaging (ISMP), Seoul, 103, The Korean Microelectronics and Packaging Society, (2003).
  5. P. R. Morrow, C. M. Park, S. Ramanathan, M. J. Kobrinsky and M. Harmes, "Three-Dimensional Wafer Stacking Via Cu-Cu Bonding Integrated With 65-nm Strained-Si/Low-k CMOS Technology", IEEE Electron Device Letters, 27(5), 335 (2006). https://doi.org/10.1109/LED.2006.873424
  6. Y. Kim, S-K Kang, S. D. Kim and S. E. Kim, "Wafer warpage analysis of stacked wafers for 3D integration", Microelectronic Engineering, 89, 46 (2012). https://doi.org/10.1016/j.mee.2011.01.079
  7. Y. Kim, S. K. Kang and E. K. Kim, "Study of Thinned Si Wafer Warpage in 3D Stacked Wafers", Microelectronics Reliability, 50, 1988 (2010). https://doi.org/10.1016/j.microrel.2010.05.006
  8. W. Ruythooren, A. Beltran and R. Labie, "Cu-Cu Bonding Alternative to Solder based Micro-Bumping", Proc. 9th Electronics Packaging Technology Conference(EPTC), Singapore, 315, IEEE (2007).
  9. L. Peng, H. Y. Li, D. F. Lim, G. Q. Lo, D. L. Kwong and C. S. Tan, "Fabrication and characterization of bump-less Cu-Cu bonding by wafer-on-wafer stacking for 3D IC", Proc. 12th Electronics Packaging Technology Conference(EPTC), Singapore, 787, IEEE (2010).
  10. C. S. Tan and R. Reif, "Multi-layer silicon layer stacking based on copper wafer bonding", Electrochemical and solidstate letters, 8(6), 147 (2005). https://doi.org/10.1149/1.1904506
  11. Y-S Tang, Y-J Chang and K-N Chen, "Wafer-level Cu-Cu bonding technology", Microelectronics Reliability, 52(2), 312 (2012). https://doi.org/10.1016/j.microrel.2011.04.016
  12. H. W. Zeij and P.M. Sarro, "Alignment and Overlay Characterization for 3D Integration and Advanced Packaging", Proc. 11th Electronics Packaging Technology Conference (EPTC), 447, IEEE (2009).
  13. J-W Kim, M-H Jeong, E-J Jang and Y-B Park, "Effect of HF/ H2SO4 Pretreatment on Interfacial Adhesion Energy of Cu-Cu Direct Bonds", Microelectronic Engineering, 89, 42 (2012). https://doi.org/10.1016/j.mee.2011.06.002
  14. J-W Kim, K-S Kim, H-J Lee, H-Y Kim, Y-B Park and S. M. Hyun, "Characterization and observation of Cu-Cu Thermo- Compression Bonding using 4-point bending test system", J. Microelectron. Packag. Soc., 18(4), 11 (2011).

Cited by

  1. Characterization of flip chip bonded structure with Cu ABL power bumps vol.54, pp.8, 2014, https://doi.org/10.1016/j.microrel.2014.03.022
  2. Wafer Level Bonding Technology for 3D Stacked IC vol.20, pp.1, 2013, https://doi.org/10.6117/kmeps.2013.20.1.007
  3. Effects of Wafer Warpage on the Misalignment in Wafer Level Stacking Process vol.20, pp.3, 2013, https://doi.org/10.6117/kmeps.2013.20.3.071
  4. High Speed Direct Bonding of Silicon Wafer Using Atmospheric Pressure Plasma vol.22, pp.3, 2015, https://doi.org/10.6117/kmeps.2015.22.3.031
  5. Effect of Post-Chemical–Mechanical Polishing Surface Treatments on the Interfacial Adhesion Energy between Cu and a Capping Layer vol.52, pp.10S, 2013, https://doi.org/10.7567/JJAP.52.10MC05
  6. Heterogeneous Device Packaging Technology for the Internet of Things Applications vol.23, pp.3, 2016, https://doi.org/10.6117/kmeps.2016.23.3.001
  7. Cu/SiO2CMP Process for Wafer Level Cu Bonding vol.20, pp.2, 2013, https://doi.org/10.6117/kmeps.2013.20.2.047
  8. Development of Cu CMP process for Cu-to-Cu wafer stacking vol.20, pp.4, 2013, https://doi.org/10.6117/kmeps.2013.20.4.081
  9. Study of micro flip-chip process using ABL bumps vol.21, pp.2, 2014, https://doi.org/10.6117/kmeps.2014.21.2.037
  10. Manufacturing yield challenges for wafer-to-wafer integration vol.20, pp.1, 2013, https://doi.org/10.6117/kmeps.2013.20.1.001
  11. Effects of wet treatment conditions and pattern densities on interfacial bonding characteristics of Cu–Cu direct bonds vol.53, pp.5S3, 2014, https://doi.org/10.7567/JJAP.53.05HB07
  12. Wafer level Cu–Cu direct bonding for 3D integration vol.137, 2015, https://doi.org/10.1016/j.mee.2014.12.012
  13. 실험계획법을 통한 구리 질화물 패시베이션 형성을 위한 아르곤 플라즈마 영향 분석 vol.26, pp.3, 2012, https://doi.org/10.6117/kmeps.2019.26.3.051
  14. Nitrogen passivation formation on Cu surface by Ar-N2 plasma for Cu-to-Cu wafer stacking application vol.25, pp.10, 2012, https://doi.org/10.1007/s00542-018-4254-y
  15. Ar/N2 2단계 플라즈마 처리에 따른 저온 Cu-Cu 직접 접합부의 정량적 계면접착에너지 평가 및 분석 vol.28, pp.2, 2012, https://doi.org/10.6117/kmeps.2021.28.2.029